Yih-Lang Li

Professor

Calculated based on number of publications stored in Pure and citations from Scopus
Calculated based on number of publications stored in Pure and citations from Scopus
Calculated based on number of publications stored in Pure and citations from Scopus
1994 …2024

Research activity per year

Filter
Conference contribution

Search results

  • 2024

    Arbitrary-size Multi-layer OARSMT RL Router Trained with Combinatorial Monte-Carlo Tree Search

    Chen, L. T., Kuo, H. R., Li, Y. L. & Chao, M. C. T., 7 Nov 2024, Proceedings of the 61st ACM/IEEE Design Automation Conference, DAC 2024. Institute of Electrical and Electronics Engineers Inc., 218. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • Routability Booster " Synthesize a Routing Friendly Standard Cell Library by Relaxing BEOL Resources

    Song, B. X., Lin, T. X. & Li, Y. L., 12 Mar 2024, ISPD 2024 - Proceedings of the 2024 International Symposium on Physical Design. Association for Computing Machinery, p. 185-193 9 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • 2022

    A Reinforcement Learning Agent for Obstacle-Avoiding Rectilinear Steiner Tree Construction

    Chen, P. Y., Ke, B. T., Lee, T. C., Tsai, I. C., Kung, T. W., Lin, L. Y., Liu, E. C., Chang, Y. C., Li, Y. L. & Chao, M. C. T., 13 Apr 2022, ISPD 2022 - Proceedings of the 2022 International Symposium on Physical Design. Association for Computing Machinery, p. 107-115 9 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    9 Scopus citations
  • Challenges and Approaches in VLSI Routing

    Posser, G., Young, E. F. Y., Held, S., Li, Y. L. & Pan, D. Z., 13 Apr 2022, ISPD 2022 - Proceedings of the 2022 International Symposium on Physical Design. Association for Computing Machinery, p. 185-192 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    7 Scopus citations
  • Path-Based Pre-Routing Timing Prediction for Modern Very Large-Scale Integration Designs

    Chen, L. W., Sui, Y. N., Lee, T. C., Li, Y. L., Chao, M. C. T., Tsai, I. C., Kung, T. W., Liu, E. C. & Chang, Y. C., 2022, Proceedings of the 23rd International Symposium on Quality Electronic Design, ISQED 2022. IEEE Computer Society, (Proceedings - International Symposium on Quality Electronic Design, ISQED; vol. 2022-April).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • 2021

    A Complete PCB Routing Methodology with Concurrent Hierarchical Routing

    Lin, S. T., Wang, H. H., Kuo, C. Y., Chen, Y. & Li, Y.-L., 5 Dec 2021, 2021 58th ACM/IEEE Design Automation Conference, DAC 2021. Institute of Electrical and Electronics Engineers Inc., p. 1141-1146 6 p. (Proceedings - Design Automation Conference; vol. 2021-December).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    18 Scopus citations
  • DATC RDF-2021: Design Flow and Beyond

    Chen, J., Jiang, I. H. R., Jung, J., Kahng, A. B., Kim, S., Kravets, V. N., Li, Y.-L., Varadarajan, R. & Woo, M., 2021, 2021 40th IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2021 - Proceedings. Institute of Electrical and Electronics Engineers Inc., (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2021-November).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    9 Scopus citations
  • 2020

    Smart self-checkout carts based on deep learning for shopping activity recognition

    Chi, H. C., Sarwar, M. A., Daraghmi, Y. A., Lin, K. W., Ik, T.-U. & Li, Y.-L., 22 Sep 2020, APNOMS 2020 - 2020 21st Asia-Pacific Network Operations and Management Symposium: Towards Service and Networking Intelligence for Humanity. Institute of Electrical and Electronics Engineers Inc., p. 185-190 6 p. 9237053. (APNOMS 2020 - 2020 21st Asia-Pacific Network Operations and Management Symposium: Towards Service and Networking Intelligence for Humanity).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    13 Scopus citations
  • Smart Shopping Carts Based on Mobile Computing and Deep Learning Cloud Services

    Atif Sarwar, M., Daraghmi, Y. A., Liu, K.-W., Chi, H. C., Ik, T.-U. & Li, Y.-L., 25 May 2020, 2020 IEEE Wireless Communications and Networking Conference (IEEE WCNC 2020). Institute of Electrical and Electronics Engineers Inc., 6 p. 9120574

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    18 Scopus citations
  • 2019

    DATC RDF-2019: Towards a complete academic reference design flow

    Chen, J., Jiang, I. H. R., Jung, J., Kahng, A. B., Kravets, V. N., Li, Y. L., Lin, S. T. & Woo, M., Nov 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2019 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., 8942120. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2019-November).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    10 Scopus citations
  • NCTUcell: A DDA-aware cell library generator for FinFET structure with implicitly adjustable grid map

    Li, Y. L., Lin, S. T., Nishizawa, S., Su, H. Y., Fong, M. J., Chen, O. & Onodera, H., 2 Jun 2019, Proceedings of the 56th Annual Design Automation Conference 2019, DAC 2019. Institute of Electrical and Electronics Engineers Inc., a120. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    16 Scopus citations
  • 2018

    DATC RDF: An academic flow from logic synthesis to detailed routing

    Jung, J., Jiang, I. H. R., Chen, J., Lin, S. T., Li, Y.-L., Kravets, V. N. & Nam, G. J., 5 Nov 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2018 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., a37. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    13 Scopus citations
  • LESAR: A dynamic line-end spacing aware detailed router

    Wei, Y. C., Samanta, R. & Li, Y.-L., 19 Apr 2018, Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018. Institute of Electrical and Electronics Engineers Inc., p. 1473-1476 4 p. (Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018; vol. 2018-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • MapReduce-based pattern classification for design space analysis

    Wu, Y. S., Su, H. Y., Chang, Y. H., Topaloglu, R. O. & Li, Y.-L., 5 Jun 2018, 2018 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2018. Institute of Electrical and Electronics Engineers Inc., p. 1-4 4 p. (2018 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2018).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • Near-future traffic evaluation based navigation for automated driving vehicles considering traffic uncertainties

    Lin, K. W., Hashimoto, M. & Li, Y.-L., 9 May 2018, 2018 19th International Symposium on Quality Electronic Design, ISQED 2018. IEEE Computer Society, p. 425-431 7 p. (Proceedings - International Symposium on Quality Electronic Design, ISQED; vol. 2018-March).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • 2017

    A maze routing-based algorithm for ML-OARST with pre-selecting and re-building steiner points

    Lin, K. W., Lin, Y. S., Li, Y.-L. & Lin, R. B., 10 May 2017, GLSVLSI 2017 - Proceedings of the Great Lakes Symposium on VLSI 2017. Association for Computing Machinery, p. 399-402 4 p. (Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI; vol. Part F127756).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    6 Scopus citations
  • Cellular automata based hardware accelerator for parallel maze routing

    Saurabh, S., Lin, K. W. & Li, Y.-L., 2 Feb 2017, Proceedings of the IEEE International Conference on Advanced Materials for Science and Engineering: Innovation, Science and Engineering, IEEE-ICAMSE 2016. Meen, T.-H., Prior, S. D. & Lam, A. D.K.-T. (eds.). Institute of Electrical and Electronics Engineers Inc., p. 680-683 4 p. 7840214. (Proceedings of the IEEE International Conference on Advanced Materials for Science and Engineering: Innovation, Science and Engineering, IEEE-ICAMSE 2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Clock tree aware post-global placement optimization

    Su, H. Y., Chiang, P. T., Samanta, R. & Li, Y.-L., 29 Dec 2017, 2017 2nd International Conference on Integrated Circuits and Microsystems, ICICM 2017. Institute of Electrical and Electronics Engineers Inc., p. 86-90 5 p. (2017 2nd International Conference on Integrated Circuits and Microsystems, ICICM 2017; vol. 2017-November).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Color balancing aware double patterning

    Lin, M. Y., Li, Y.-L. & Lin, K. W., 21 Jul 2017, Proceedings of the 2017 IEEE International Conference on Applied System Innovation: Applied System Innovation for Modern Technology, ICASI 2017. Meen, T.-H., Lam, A. D.K.-T. & Prior, S. D. (eds.). Institute of Electrical and Electronics Engineers Inc., p. 284-287 4 p. 7988407. (Proceedings of the 2017 IEEE International Conference on Applied System Innovation: Applied System Innovation for Modern Technology, ICASI 2017).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • DATC RDF: Robust design flow database: Invited paper

    Jung, J., Lee, P. Y., Wu, Y. S., Darav, N. K., Jiang, I. H. R., Kravets, V. N., Behjat, L., Li, Y.-L. & Nam, G. J., 13 Dec 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2017. Institute of Electrical and Electronics Engineers Inc., p. 872-873 2 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2017-November).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    10 Scopus citations
  • Multiple-patterning lithography-aware routing for standard cell layout synthesis

    Lin, K. W., Li, Y.-L. & Lin, R. B., 3 Jan 2017, 2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016. Institute of Electrical and Electronics Engineers Inc., p. 534-537 4 p. 7804022. (2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • Near-future traffic evaluation based navigation for automated driving vehicles

    Lin, K. W., Li, Y.-L. & Hashimoto, M., 28 Jul 2017, IV 2017 - 28th IEEE Intelligent Vehicles Symposium. Institute of Electrical and Electronics Engineers Inc., p. 1465-1470 6 p. 7995916. (IEEE Intelligent Vehicles Symposium, Proceedings).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Pin accessibility evaluating model for improving routability of VLSI designs

    Su, H. Y., Nishizawa, S., Wu, Y. S., Shiomi, J., Li, Y.-L. & Onodera, H., 18 Dec 2017, Proceedings - 30th IEEE International System on Chip Conference, SOCC 2017. Becker, J., Sridhar, R., Li, H., Schlichtmann, U. & Alioto, M. (eds.). IEEE Computer Society, p. 56-61 6 p. (International System on Chip Conference; vol. 2017-September).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    5 Scopus citations
  • 2016

    OpenDesign flow database: The infrastructure for VLSI design and design automation research

    Jung, J., Jiang, I. H. R., Nam, G. J., Kravets, V. N., Behjat, L. & Li, Y.-L., 7 Nov 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2016. Institute of Electrical and Electronics Engineers Inc., 2980074. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 07-10-November-2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    14 Scopus citations
  • 2015

    SubHunter: A high-performance and scalable sub-circuit recognition method with Prüfer-encoding

    Su, H. Y., Hsu, C. H. & Li, Y.-L., 22 Apr 2015, Proceedings of the 2015 Design, Automation and Test in Europe Conference and Exhibition, DATE 2015. Institute of Electrical and Electronics Engineers Inc., p. 1583-1586 4 p. 7092644. (Proceedings -Design, Automation and Test in Europe, DATE; vol. 2015-April).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • 2014

    Density-aware detailed placement with instant legalization

    Popovych, S., Lai, H. H., Wang, C. M., Li, Y.-L., Liu, W. H. & Wang, T. C., 2014, DAC 2014 - 51st Design Automation Conference, Conference Proceedings. Institute of Electrical and Electronics Engineers Inc., 2593142. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    28 Scopus citations
  • Fast and accurate emissivity and absolute temperature maps measurement for integrated circuits

    Yu, H. L., Li, Y.-L., Liao, T. Y., Wang, T., Shi, Y. & Tsai, S. F., 2 Nov 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2014 - Digest of Technical Papers. January ed. Institute of Electrical and Electronics Engineers Inc., p. 542-549 8 p. 7001403. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2015-January, no. January).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Skillfully diminishing antenna effect in layer assignment stage

    Lin, C. C., Liu, W. H. & Li, Y.-L., 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2014. IEEE Computer Society, 6834859. (Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2014).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • 2013

    Case study for placement solutions in ISPD11 and DAC12 routability-driven placement contests

    Liu, W. H., Koh, C. K. & Li, Y.-L., 24 Mar 2013, ISPD 2013 - Proceedings of the 2013 ACM International Symposium on Physical Design. p. 114-119 6 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    17 Scopus citations
  • Optimization of placement solutions for routability

    Liu, W. H., Koh, C. K. & Li, Y.-L., 2013, Proceedings of the 50th Annual Design Automation Conference, DAC 2013. 153. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    34 Scopus citations
  • Routing congestion estimation with real design constraints

    Liu, W. H., Wei, Y., Sze, C., Alpert, C. J., Li, Z., Li, Y.-L. & Viswanathan, N., 2013, Proceedings of the 50th Annual Design Automation Conference, DAC 2013. 92. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    14 Scopus citations
  • 2012

    Optimizing the antenna area and separators in layer assignment of multi-layer global routing

    Liu, W. H. & Li, Y.-L., 1 May 2012, ISPD'12 - Proceedings of the 2012 International Symposium on Physical Design. p. 137-144 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    6 Scopus citations
  • Topology-aware buffer insertion and GPU-based massively parallel rerouting for ECO timing optimization

    Lin, Y. H., Lo, Y. J., Tong, H. S., Liu, W. H. & Li, Y.-L., 2012, ASP-DAC 2012 - 17th Asia and South Pacific Design Automation Conference. p. 437-442 6 p. 6164988. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • 2011

    Doppler: DPL-aware and OPC-friendly gridless detailed routing with mask density balancing

    Lin, Y. H., Ban, Y. C., Pan, D. Z. & Li, Y.-L., 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011. p. 283-289 7 p. 6105343. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    5 Scopus citations
  • Gridless wire ordering, sizing and spacing with critical area minimization

    Lee, Y. W., Lin, Y. H. & Li, Y.-L., 22 Jun 2011, Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011. p. 646-653 8 p. 5770797. (Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • High-quality global routing for multiple dynamic supply voltage designs

    Liu, W. H., Li, Y.-L. & Chao, K. Y., 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011. p. 263-269 7 p. 6105338. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    9 Scopus citations
  • Negotiation-based layer assignment for via count and via overflow minimization

    Liu, W. H. & Li, Y.-L., 28 Mar 2011, 2011 16th Asia and South Pacific Design Automation Conference, ASP-DAC 2011. p. 539-544 6 p. 5722248. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    31 Scopus citations
  • 2010

    Dead via minimization by simultaneous routing and redundant via insertion

    Lin, C. T., Lin, Y. H., Su, G. C. & Li, Y.-L., 28 Apr 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 657-662 6 p. 5419806. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    10 Scopus citations
  • Double patterning lithography aware gridless detailed routing with innovative conflict graph

    Lin, Y. H. & Li, Y.-L., 2010, Proceedings of the 47th Design Automation Conference, DAC '10. p. 398-403 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    23 Scopus citations
  • Minimizing clock latency range in robust clock tree synthesis

    Liu, W. H., Li, Y.-L. & Chen, H. C., 28 Apr 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 389-394 6 p. 5419849. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    25 Scopus citations
  • Multi-threaded collision-aware global routing with bounded-length maze routing

    Liu, W. H., Kao, W. C., Li, Y.-L. & Chao, K. Y., 2010, Proceedings of the 47th Design Automation Conference, DAC '10. p. 200-205 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    62 Scopus citations
  • 2009

    Critical-trunk based obstacle-avoiding rectilinear Steiner tree routings for delay and slack optimization

    Lin, Y. H., Chang, S. H. & Li, Y.-L., 21 Sep 2009, Proceedings of the 2009 International Symposium on Physical Design, ISPD'09. p. 151-158 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Efficient simulated evolution based rerouting and congestion-relaxed layer assignment on 3-D global routing

    Dai, K. R., Liu, W. H. & Li, Y.-L., 20 Apr 2009, Proceedings of the ASP-DAC 2009: Asia and South Pacific Design Automation Conference 2009. p. 570-575 6 p. 4796541. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    24 Scopus citations
  • GRPlacer: Improving routability and wire-length of global routing with circuit replacement

    Dai, K. R., Lu, C. H. & Li, Y.-L., 2009, Proceedings of the 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers, ICCAD 2009. p. 351-356 6 p. 5361270. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    15 Scopus citations
  • Topology-driven cell layout migration with collinear constraints

    Fu, D. S., Chaung, Y. Z., Lin, Y. H. & Li, Y.-L., 2009, 2009 IEEE International Conference on Computer Design, ICCD 2009. p. 439-444 6 p. 5413118. (Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    Open Access
    14 Scopus citations
  • 2008

    Minimum shield insertion on full-chip RLC crosstalk budgeting routing

    Hung, P. Y., Lou, Y. S. & Li, Y.-L., 2008, Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008. p. 514-519 6 p. 4479788. (Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • Non-slicing floorplanning-based crosstalk reduction on gridless track assignment for a gridless routing system with fast pseudo-tile extraction

    Chang, Y. N., Li, Y.-L., Lin, W. T. & Cheng, W. N., 2008, ISPD'08 - Proceedings of the 2008 ACM International Symposium on Physical Design. p. 134-141 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    14 Scopus citations
  • 2007

    A simulation-based hybrid optimization technique for analog and digital integrated circuits design automation

    Li, Y.-M., Yu, S. M. & Li, Y. L., 2007, 2007 NSTI Nanotechnology Conference and Trade Show - NSTI Nanotech 2007, Technical Proceedings. p. 181-184 4 p. (2007 NSTI Nanotechnology Conference and Trade Show - NSTI Nanotech 2007, Technical Proceedings; vol. 3).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • A simulation-based hybrid optimization technique for low noise amplifier design automation

    Li, Y.-M., Yu, S. M. & Li, Y. L., 2007, Computational Science - ICCS 2007 - 7th International Conference, Proceedings. PART 4 ed. Springer Verlag, p. 259-266 8 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 4490 LNCS, no. PART 4).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Simulation-based evolutionary method in antenna design optimization for WLAN and wireless communication applications

    Li, Y.-M., Yu, S. M., Kuo, Y. T. & Li, Y.-L., 1 Dec 2007, Computational Methods in Science and Engineering - Theory and Computation: Old Problems and New Challenges, Lectures Presented at the Int. Conf. Computational Methods in Sci. Eng. 2007 ICCMSE 2007. 1 ed. p. 659-670 12 p. (AIP Conference Proceedings; vol. 963, no. 1).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations