Tien-Fu Chen

Professor

Calculated based on number of publications stored in Pure and citations from Scopus
Calculated based on number of publications stored in Pure and citations from Scopus
Calculated based on number of publications stored in Pure and citations from Scopus
1991 …2023

Research activity per year

If you made any changes in Pure these will be visible here soon.
Filter
Conference contribution

Search results

  • 2019

    Accelerating R data analytics in IoT edge systems by memory optimization

    Liou, D. Y., Chen, C. C., Chen, T-F. & Lin, T. J., 10 Apr 2019, 11th IEEE International Conference on Application of Information and Communication Technologies, AICT 2017 - Proceedings. Institute of Electrical and Electronics Engineers Inc., 8686985. (11th IEEE International Conference on Application of Information and Communication Technologies, AICT 2017 - Proceedings).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Sensor Abnormal Detection and Recovery Using Machine Learning for IoT Sensing Systems

    Tsai, F. K., Chen, C. C., Chen, T-F. & Lin, T. J., 12 Apr 2019, 2019 IEEE 6th International Conference on Industrial Engineering and Applications, ICIEA 2019. Institute of Electrical and Electronics Engineers Inc., p. 501-505 5 p. 8715215. (2019 IEEE 6th International Conference on Industrial Engineering and Applications, ICIEA 2019).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    11 Scopus citations
  • 2016

    A 256b-wordlength ReRAM-based TCAM with 1ns search-time and 14× improvement in wordlength-energyefficiency-density product using 2.5T1R cell

    Lin, C. C., Hung, J. Y., Lin, W. Z., Lo, C. P., Chiang, Y. N., Tsai, H. J., Yang, G. H., King, Y. C., Lin, C. J., Chen, T-F. & Chang, M. F., 23 Feb 2016, 2016 IEEE International Solid-State Circuits Conference, ISSCC 2016. Institute of Electrical and Electronics Engineers Inc., p. 136-137 2 p. 7417944. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 59).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    57 Scopus citations
  • A resistance-drift compensation scheme to reduce MLC PCM raw BER by over 100× for storage-class memory applications

    Khwa, W. S., Chang, M. F., Wu, J. Y., Lee, M. H., Su, T. H., Yang, K. H., Chen, T-F., Wang, T. Y., Li, H. P., Brightsky, M., Kim, S., Lung, H. L. & Lam, C., 23 Feb 2016, 2016 IEEE International Solid-State Circuits Conference, ISSCC 2016. Institute of Electrical and Electronics Engineers Inc., p. 134-135 2 p. 7417943. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 59).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    22 Scopus citations
  • Low-cost low-power droop-voltage-aware delay-fault-prevention designs for DVS caches

    Chou, P. Y., Wu, I. C., Lin, J. W., Lin, X. Y., Chen, T-F., Lin, T. J. & Wang, J. S., 21 Jul 2016, Proceedings - 2015 IEEE 11th International Conference on ASIC, ASICON 2015. Ren, J., Tang, T-A., Ye, F. & Yu, H. (eds.). Institute of Electrical and Electronics Engineers Inc., 7517050. (Proceedings - 2015 IEEE 11th International Conference on ASIC, ASICON 2015).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • Variable-length VLIW encoding for code size reduction in embedded processors

    Shyu, T. Y., Su, B. Y., Lin, T. J., Yeh, C., Wang, J. S. & Chen, T. F., 2 Jul 2016, Proceedings - 29th IEEE International System on Chip Conference, SOCC 2016. Bhatia, K., Alioto, M., Zhao, D., Marshall, A. & Sridhar, R. (eds.). IEEE Computer Society, p. 296-299 4 p. 7905495. (International System on Chip Conference; vol. 0).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • 2015

    A 3T1R nonvolatile TCAM using MLC ReRAM with Sub-1ns search time

    Chang, M. F., Lin, C. C., Lee, A., Kuo, C. C., Yang, G. H., Tsai, H. J., Chen, T-F., Sheu, S. S., Tseng, P. L., Lee, H. Y. & Ku, T. K., 17 Mar 2015, 2015 IEEE International Solid-State Circuits Conference, ISSCC 2015 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 318-319 2 p. 7063054. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 58).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    46 Scopus citations
  • Adaptive granularity and coordinated management for timely prefetching in multi-core systems

    Chang, C. J., Peng, Y. C., Chen, C. C., Chen, T-F. & Yew, P. C., 28 May 2015, 2015 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2015. Institute of Electrical and Electronics Engineers Inc., 7114578. (2015 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2015).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • A latency-elastic and fault-tolerant cache for improving performance and reliability on low voltage operation

    Yu, Y. H., Wang, P. H., Tsai, S. J. & Chen, T-F., 28 May 2015, 2015 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2015. Institute of Electrical and Electronics Engineers Inc., 7114576. (2015 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2015).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Energy-efficient non-volatile TCAM search engine design using priority-decision in memory technology for DPI

    Tsai, H. J., Yang, K. H., Peng, Y. C., Lin, C. C., Tsao, Y. H., Chang, M. F. & Chen, T-F., 24 Jul 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference, DAC 2015. Institute of Electrical and Electronics Engineers Inc., 7167284. (Proceedings - Design Automation Conference; vol. 2015-July).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Lifetime-aware LRU promotion policy for last-level cache

    Wu, H. Y., Chen, C. C., Tsai, H. J., Peng, Y. C. & Chen, T-F., 28 May 2015, 2015 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2015. Institute of Electrical and Electronics Engineers Inc., 7114579. (2015 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2015).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • 2014

    Accelerating full-system simulation and app analysis through focused multi-granularity profiling

    Su, T. H., Wu, W. S., Chou, C. T., Cheng, Y. C., Tsai, M. T. & Chen, T-F., 1 Jan 2014, ESLsyn 2014 - Proceedings of the 2014 Electronic System Level Synthesis Conference, Co-located with 51st DAC. IEEE Computer Society, 6850378. (Proceedings of the electronic system level synthesis conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Adaptive variable-latency cache management for low-voltage caches

    Yu, Y. H., Wang, P. H., Chen, T-F., Lin, T. J. & Wang, J. S., 1 Jan 2014, 2014 IEEE Faible Tension Faible Consommation, FTFC 2014. IEEE Computer Society, 6828603. (2014 IEEE Faible Tension Faible Consommation, FTFC 2014).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • DAPs: Dynamic adjustment and partial sampling for multithreaded/multicore simulation

    Chen, C. C., Peng, Y. C., Chen, C. F., Wu, W. S., Min, Q., Yew, P. C., Zhang, W. & Chen, T-F., 1 Jan 2014, DAC 2014 - 51st Design Automation Conference, Conference Proceedings. Institute of Electrical and Electronics Engineers Inc., 2593116. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • Leveraging data lifetime for energy-Aware last level non-volatile sram caches using redundant store elimination

    Tsai, H. J., Chen, C. C., Yang, K. H., Yang, T. C., Huang, L. Y., Chung, C. H., Chang, M. F. & Chen, T-F., 1 Jan 2014, DAC 2014 - 51st Design Automation Conference, Conference Proceedings. Institute of Electrical and Electronics Engineers Inc., 2593153. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    10 Scopus citations
  • ReRAM-based 4T2R nonvolatile TCAM with 7x NVM-stress reduction, and 4x improvement in speed-wordlength-capacity for normally-off instant-on filter-based search engines used in big-data processing

    Huang, L. Y., Chang, M. F., Chuang, C. H., Kuo, C. C., Chen, C. F., Yang, G. H., Tsai, H. J., Chen, T-F., Sheu, S. S., Su, K. L., Chen, F. T., Ku, T. K., Tsai, M. J. & Kao, M. J., 1 Jan 2014, 2014 Symposium on VLSI Circuits, VLSIC 2014 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., 6858404. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    47 Scopus citations
  • 2013

    A 0.48V 0.57nJ/pixel video-recording SoC in 65nm CMOS

    Lin, T. J., Chien, C. A., Chang, P. Y., Chen, C. W., Wang, P. H., Shyu, T. Y., Chou, C. Y., Luo, S. C., Guo, J-I., Chen, T-F., Chuang, G. C. H., Chu, Y. H., Cheng, L. C., Su, H. M., Jou, C., Ieong, M., Wu, C. W. & Wang, J. S., 29 Apr 2013, 2013 IEEE International Solid-State Circuits Conference, ISSCC 2013 - Digest of Technical Papers. p. 158-159 2 p. 6487680. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 56).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    19 Scopus citations
  • A configurable bus-tracer for error reproduction in post-silicon validation

    Chen, S. Y., Hsiao, M. Y., Jone, W. B. & Chen, T-F., 15 Aug 2013, 2013 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT 2013. 6533823. (2013 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT 2013).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • Cross-layer dynamic prefetching allocation strategies for high-performance multicores

    Peng, Y. C., Chen, C. C., Chang, C. J., Chen, T-F. & Yew, P. C., 15 Aug 2013, 2013 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT 2013. 6533864. (2013 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT 2013).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Selective profiling for OS scalability study on multicore systems

    Chen, K. Y., Chang, Y. H., Liao, P. S., Yew, P. C., Cheng, S. W. & Chen, T-F., 1 Jan 2013, Proceedings - IEEE 6th International Conference on Service-Oriented Computing and Applications, SOCA 2013. IEEE Computer Society, p. 174-181 8 p. 6717302. (Proceedings - IEEE 6th International Conference on Service-Oriented Computing and Applications, SOCA 2013).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Variation-aware and adaptive-latency accesses for reliable low voltage caches

    Wang, P. H., Cheng, W. C., Yu, Y. H., Kao, T. C., Tsai, C. L., Chang, P. Y., Lin, T. J., Wang, J. S. & Chen, T-F., 1 Jan 2013, 2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration, VLSI-SoC 2013 - Proceedings. IEEE Computer Society, p. 358-363 6 p. 6673309. (IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    5 Scopus citations
  • 2012

    IMITATOR: A deterministic multicore replay system with refining techniques

    Chen, S. Y., Wen, C. N., Yang, G. H., Jone, W. B. & Chen, T-F., 25 Jul 2012, 2012 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2012 - Proceedings of Technical Papers. 6212625. (2012 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2012 - Proceedings of Technical Papers).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • 2011

    Accelerating manycore simulation by efficient NoC interconnection partition on FPGA simulation platform

    Ku, W. C. & Chen, T-F., 28 Jun 2011, Proceedings of 2011 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2011. p. 316-319 4 p. 5783638. (Proceedings of 2011 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2011).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • 2010

    Runassert: A non-intrusive run-time assertion for parallel programs debugging

    Wen, C. N., Chou, S. H., Chen, T-F. & Lin, T. J., 9 Jun 2010, DATE 10 - Design, Automation and Test in Europe. p. 287-290 4 p. 5457193. (Proceedings -Design, Automation and Test in Europe, DATE).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • 2009

    dIP: A non-intrusive debugging IP for dynamic data race detection in many-core

    Wen, C. N., Chou, S. H. & Chen, T-F., 1 Dec 2009, I-SPAN 2009 - The 10th International Symposium on Pervasive Systems, Algorithms, and Networks. p. 86-91 6 p. 5381960. (I-SPAN 2009 - The 10th International Symposium on Pervasive Systems, Algorithms, and Networks).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • No cache-coherence: A single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips

    Chou, S. H., Chen, C. C., Wen, C. N., Chan, Y. C., Chen, T-F., Wang, C. C. & Wang, J. S., 10 Nov 2009, 2009 46th ACM/IEEE Design Automation Conference, DAC 2009. p. 587-592 6 p. 5227070. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    8 Scopus citations
  • NUDA: A non-uniform debugging architecture and non-intrusive race detection for many-core

    Wen, C. N., Chou, S. H., Chen, T-F. & Su, A. P., 10 Nov 2009, 2009 46th ACM/IEEE Design Automation Conference, DAC 2009. p. 148-153 6 p. 5227180. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    4 Scopus citations
  • VeriC: A semi-hardware description language to bridge the gap between esl design and rtl models

    Chou, S. H., Wen, C. N., Liu, Y. L. & Chen, T-F., 8 Jul 2009, Proceedings of the 10th International Symposium on Quality Electronic Design, ISQED 2009. p. 535-540 6 p. 4810351. (Proceedings of the 10th International Symposium on Quality Electronic Design, ISQED 2009).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • 2008

    An adaptively dividable dual-port BiTCAM for virus-detection processors in mobile devices

    Wang, C. C., Cheng, C. J., Chen, T-F. & Wang, J. S., 21 Aug 2008, 2008 IEEE International Solid State Circuits Conference - Digest of Technical Papers, ISSCC. 4523221. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 51).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    8 Scopus citations
  • 2007

    An embedded coherent-multithreading multimedia processor and its programming model

    Chu, J. C., Ku, W. C., Chou, S. H., Chen, T-F. & Guo, J-I., 2 Aug 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. p. 652-657 6 p. 4261265. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    5 Scopus citations
  • Reducing branch misprediction penalties via adaptive pipeline scaling

    Yeh, C. C., Chang, K. C., Chen, T-F. & Yeh, C., 1 Dec 2007, High Performance Embedded Architectures and Compilers - Second International Conference, HiPEAC 2007, Proceedings. p. 105-119 15 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 4367 LNCS).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • 2006

    Collaborative multithreading: An open scalable processor architecture for embedded multimedia applications

    Ku, W. C., Chou, S. H., Chu, J. C., Kong, C. H., Chen, T-F. & Guo, J-I., 1 Dec 2006, 2006 IEEE International Conference on Multimedia and Expo, ICME 2006 - Proceedings. p. 25-28 4 p. 4036527. (2006 IEEE International Conference on Multimedia and Expo, ICME 2006 - Proceedings; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Design of customized functional units for the VLIW-based multi-threading processor core targeted at multimedia applications

    Chu, J. C., Huang, C. W., Chen, H. C., Lu, K. P., Lee, M. S., Guo, J-I. & Chen, T-F., 1 Dec 2006, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, Proceedings. p. 2389-2392 4 p. 1693103. (Proceedings - IEEE International Symposium on Circuits and Systems).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs

    Chang, K. C., Shen, J. S. & Chen, T-F., 1 Dec 2006, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06. p. 143-148 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    43 Scopus citations
  • On a design of crossroad switches for low-power on-chip communication architectures

    Shen, J. G., Chang, K. C. & Chen, T-F., 1 Dec 2006, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, Proceedings. p. 477-480 4 p. 1692626. (Proceedings - IEEE International Symposium on Circuits and Systems).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    5 Scopus citations
  • 2005

    A low-power crossroad switch architecture and its core placement for network-on-chip

    Chang, K. C., Shen, J. S. & Chen, T-F., 12 Dec 2005, ISLPED '05: PROCEEDINGS OF THE 2005 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN. ACM, p. 375-380 6 p. (Proceedings of the International Symposium on Low Power Electronics and Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Crossroad system-on-chip communication architecture for low power embedded systems

    Chang, K. C., Shen, J. S. & Chen, T-F., Jun 2005, Proceedings of the 2005 International Conference on Embedded Systems and Applications, ESA'05. p. 151-157 7 p. (Proceedings of the 2005 International Conference on Embedded Systems and Applications, ESA'05).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • Development of architecture and software technologies in high-performance low-power SoC design

    Hsueh, C. W., Chen, T-F., Chang, R. G. & Lo, S. W., 1 Dec 2005, Proceedings - 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications. p. 475-480 6 p. 1541128. (Proceedings - 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • Efficient segment-based video transcoding proxy for mobile multimedia services

    Chang, K. C., Wu, R. Y. & Chen, T-F., 1 Dec 2005, IEEE International Conference on Multimedia and Expo, ICME 2005. p. 755-758 4 p. 1521533. (IEEE International Conference on Multimedia and Expo, ICME 2005; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    5 Scopus citations
  • System-level power-aware scheduling by operation-based prediction

    Chung, K. C., Chen, T-F. & Chuang, W. Y., Jun 2005, Proceedings of the 2005 International Conference on Pervasive Systems and Computing, PSC'05. p. 154-160 7 p. (Proceedings of the 2005 International Conference on Pervasive Systems and Computing, PSC'05).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • 2003

    A tree-based inverted file for fast ranked-document retrieval

    Shieh, W. Y., Chen, T-F. & Chung, C-P., Jun 2003, Proceedings of the International Conference on Information and Knowledge Engineering 2003. Goharian, N. & Goharian, N. (eds.). p. 64-69 6 p. (Proceedings of the International Conference on Information and Knowledge Engineering; vol. 1).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • 2002

    Dynamic voltage leveling scheduling for real-time embedded systems on low-power variable speed processors

    Kuo, J. L. & Chen, T-F., 1 Dec 2002, Proceedings of the 2002 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES '02. p. 147-155 9 p. (Proceedings of the 2002 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES '02).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • 2001

    Compressing Inverted Files in Scalable Information Systems by Binary Decision Diagram Encoding

    Lai, C. H. & Chen, T. F., 10 Nov 2001, Proceedings of the 2001 ACM/IEEE Conference on Supercomputing, SC 2001. Association for Computing Machinery, p. 60 1 p. (Proceedings of the International Conference on Supercomputing).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • 2000

    Dynamic memory management for real-time embedded Java chips

    Lin, C. M. & Chen, T-F., 1 Jan 2000, Proceedings - 7th International Conference on Real-Time Computing Systems and Applications, RTCSA 2000. Institute of Electrical and Electronics Engineers Inc., p. 49-56 8 p. 896370. (Proceedings - 7th International Conference on Real-Time Computing Systems and Applications, RTCSA 2000).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    7 Scopus citations
  • 1992

    Reducing memory latency via non-blocking and prefetching caches

    Chen, T-F. & Baer, J. L., 1 Jan 1992, International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS. 9 ed. Publ by ACM, p. 51-61 11 p. (International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS; vol. 27, no. 9).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    86 Scopus citations
  • 1991

    An effective on-chip preloading scheme to reduce data access penalty

    Baer, J. L. & Chen, T-F., 1 Dec 1991, Proc Supercomput 91. Publ by IEEE, p. 176-186 11 p. (Proc Supercomput 91).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    208 Scopus citations