Mango Chia-Tso Chao

Professor

Calculated based on number of publications stored in Pure and citations from Scopus
Calculated based on number of publications stored in Pure and citations from Scopus
Calculated based on number of publications stored in Pure and citations from Scopus
19992024

Research activity per year

Filter
Conference contribution

Search results

  • 2024

    Transformer and Its Variants for Identifying Good Dice in Bad Neighborhoods

    Lu, C. C., Chang, C. C., Yen, C. H., Chang, S. W., Chu, Y. H., Wu, K. C. & Chao, M. C. T., 2024, Proceedings - 2024 IEEE 42nd VLSI Test Symposium, VTS 2024. IEEE Computer Society, (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • 2023

    DRC Violation Prediction with Pre-global-routing Features Through Convolutional Neural Network

    Lin, J. G., Chen, Y. G., Yang, Y. W., Hung, W. T., Tsai, C. H., Fu, D. S. & Chao, M. C. T., 5 Jun 2023, GLSVLSI 2023 - Proceedings of the Great Lakes Symposium on VLSI 2023. Association for Computing Machinery, p. 313-319 7 p. (Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Enhancing Good-Die-in-Bad-Neighborhood Methodology with Wafer-Level Defect Pattern Information

    Liu, C. M., Yen, C. H., Lee, S. W., Wu, K. C. & Chao, M. C. T., 2023, Proceedings - 2023 IEEE International Test Conference, ITC 2023. Institute of Electrical and Electronics Engineers Inc., p. 357-366 10 p. (Proceedings - International Test Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Outlier Detection for Analog Tests Using Deep Learning Techniques

    Lin, C. K., Lu, C. C., Chang, S. W., Chu, Y. H., Wu, K. C. & Chao, M. C. T., 2023, Proceedings - 2023 IEEE 41st VLSI Test Symposium, VTS 2023. IEEE Computer Society, (Proceedings of the IEEE VLSI Test Symposium; vol. 2023-April).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • Test Generation for Defect-Based Faults of Scan Flip-Flops

    Nien, Y. T., Li, C. H., Wu, P. Y., Wang, Y. J., Wu, K. C. & Chao, M. C. T., 2023, Proceedings - 2023 IEEE 41st VLSI Test Symposium, VTS 2023. IEEE Computer Society, (Proceedings of the IEEE VLSI Test Symposium; vol. 2023-April).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • 2022

    A Reinforcement Learning Agent for Obstacle-Avoiding Rectilinear Steiner Tree Construction

    Chen, P. Y., Ke, B. T., Lee, T. C., Tsai, I. C., Kung, T. W., Lin, L. Y., Liu, E. C., Chang, Y. C., Li, Y. L. & Chao, M. C. T., 13 Apr 2022, ISPD 2022 - Proceedings of the 2022 International Symposium on Physical Design. Association for Computing Machinery, p. 107-115 9 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    6 Scopus citations
  • Improving Cell-Aware Test for Intra-Cell Short Defects

    Lee, D. Z., Chen, Y. Y., Wu, K. C. & Chao, M. C. T., 2022, Proceedings of the 2022 Design, Automation and Test in Europe Conference and Exhibition, DATE 2022. Bolchini, C., Verbauwhede, I. & Vatajelu, I. (eds.). Institute of Electrical and Electronics Engineers Inc., p. 436-441 6 p. (Proceedings of the 2022 Design, Automation and Test in Europe Conference and Exhibition, DATE 2022).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Path-Based Pre-Routing Timing Prediction for Modern Very Large-Scale Integration Designs

    Chen, L. W., Sui, Y. N., Lee, T. C., Li, Y. L., Chao, M. C. T., Tsai, I. C., Kung, T. W., Liu, E. C. & Chang, Y. C., 2022, Proceedings of the 23rd International Symposium on Quality Electronic Design, ISQED 2022. IEEE Computer Society, (Proceedings - International Symposium on Quality Electronic Design, ISQED; vol. 2022-April).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • Rule Generation for Classifying SLT Failed Parts

    Hsu, H. C., Lu, C. C., Wang, S. W., Jones, K., Wu, K. C. & Chao, M. C. T., 2022, Proceedings - 2022 IEEE 40th VLSI Test Symposium, VTS 2022. IEEE Computer Society, (Proceedings of the IEEE VLSI Test Symposium; vol. 2022-April).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • 2021

    Identifying good-dice-in-bad-neighborhoods using artificial neural networks

    Yang, C. H., Yen, C. H., Wang, T. R., Chen, C. T., Chern, M., Chen, Y. Y., Lee, J. N., Kao, S. Y., Wu, K.-C. & Chao, C.-T., 25 Apr 2021, Proceedings - 2021 IEEE 39th VLSI Test Symposium, VTS 2021. IEEE Computer Society, 9441055. (Proceedings of the IEEE VLSI Test Symposium; vol. 2021-April).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    12 Scopus citations
  • 2020

    CNN-based Stochastic Regression for IDDQ Outlier Identification

    Chen, C. T., Yen, C. H., Wen, C. Y., Yang, C. H., Wu, K. C., Chern, M., Chen, Y. Y., Kuo, C. Y., Lee, J. N., Kao, S. Y. & Chao, M. C. T., Apr 2020, Proceedings - 2020 IEEE 38th VLSI Test Symposium, VTS 2020. IEEE Computer Society, 9107570. (Proceedings of the IEEE VLSI Test Symposium; vol. 2020-April).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    9 Scopus citations
  • Test Methodology for Defect-based Bridge Faults

    Hu, Y. P., Chang, S. W., Wu, K. C., Wang, C. C., Huang, F. S., Tang, Y. L., Chen, Y. C., Chen, M. C. & Chao, M. C. T., Sep 2020, Proceedings - 2020 IEEE International Test Conference in Asia, ITC-Asia 2020. Institute of Electrical and Electronics Engineers Inc., p. 106-111 6 p. 9226574. (Proceedings - 2020 IEEE International Test Conference in Asia, ITC-Asia 2020).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • Transforming global routing report into DRC violation map with convolutional neural network

    Hung, W. T., Huang, J. Y., Chou, Y. C., Tsai, C. H. & Chao, M., 20 Sep 2020, ISPD 2020 - Proceedings of the 2020 International Symposium on Physical Design. Association for Computing Machinery, p. 57-64 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    24 Scopus citations
  • 2019

    Layout-Based Dual-Cell-Aware Tests

    Wu, T. W., Lee, D. Z., Wu, K.-C., Huang, Y. H., Chen, Y. Y., Chen, P. L., Chern, M., Lee, J. N., Kao, S. Y. & Chao, C.-T., 1 Apr 2019, 2019 IEEE 37th VLSI Test Symposium, VTS 2019. IEEE Computer Society, 8758646. (Proceedings of the IEEE VLSI Test Symposium; vol. 2019-April).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Methodology of generating timing-slack-based cell-aware tests

    Nien, Y. T., Wu, K. C., Lee, D. Z., Chen, Y. Y., Chen, P. L., Chern, M., Lee, J. N., Kao, S. Y. & Chao, M. C. T., Nov 2019, 2019 IEEE International Test Conference, ITC 2019. Institute of Electrical and Electronics Engineers Inc., 9000119. (Proceedings - International Test Conference; vol. 2019-November).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Micro-architecture optimization for low-power bitcoin mining ASICs

    Wang, Y. Z., Wu, J., Chen, S. H., Chao, M. C. T. & Yang, C. H., Apr 2019, 2019 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2019. Institute of Electrical and Electronics Engineers Inc., 8741726. (2019 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2019).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    6 Scopus citations
  • 2018

    DVFS binning using machine-learning techniques

    Chang, K. W., Huang, C. Y., Mu, S. P., Huang, J. M., Chen, S. H. & Chao, C.-T., 11 Sep 2018, Proceedings - 2nd IEEE International Test Conference in Asia, ITC-Asia 2018. Institute of Electrical and Electronics Engineers Inc., p. 31-36 6 p. 8462944. (Proceedings - 2nd IEEE International Test Conference in Asia, ITC-Asia 2018).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    4 Scopus citations
  • 2017

    Fast WAT test structure for measuring VT variance based on latch-based comparators

    Lee, K. C., Wu, K.-C., Tsai, C. Y. & Chao, C.-T., 15 May 2017, Proceedings - 2017 IEEE 35th VLSI Test Symposium, VTS 2017. IEEE Computer Society, 7928928. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • Methodology of generating dual-cell-aware tests

    Huang, Y. H., Lu, C. H., Wu, T. W., Nien, Y. T., Chen, Y. Y., Wu, M., Lee, J. N. & Chao, C.-T., 15 May 2017, Proceedings - 2017 IEEE 35th VLSI Test Symposium, VTS 2017. IEEE Computer Society, 7928925. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    22 Scopus citations
  • Predicting Vt variation and static IR drop of ring oscillators using model-fitting techniques

    Huang, T. H., Hung, W. T., Yang, H. Y., Chang, W. H., Chen, Y. Y., Kuo, C. Y., Lee, J. N. & Chao, C.-T., 16 Feb 2017, 2017 22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017. Institute of Electrical and Electronics Engineers Inc., p. 426-431 6 p. 7858360. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • 2016

    Generating routing-driven power distribution networks with machine-learning technique

    Chang, W. H., Chen, L. D., Lin, C. H., Mu, S. P., Chao, C.-T., Tsai, C. H. & Chiu, Y. C., 3 Apr 2016, ISPD 2016 - Proceedings of the 2016 International Symposium on Physical Design. Association for Computing Machinery, p. 145-152 8 p. (Proceedings of the International Symposium on Physical Design; vol. 03-06-April-2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    10 Scopus citations
  • Predicting Vt mean and variance from parallel Id measurement with model-fitting technique

    Tsai, C. Y., Lee, K. C., Lin, C. H., Yu, S. C., Liau, W. R., Hou, A. C. L., Chen, Y. Y., Kuo, C. Y., Lee, J. N. & Chao, C.-T., 23 May 2016, Proceedings - 2016 IEEE 34th VLSI Test Symposium, VTS 2016. IEEE Computer Society, 7477268. (Proceedings of the IEEE VLSI Test Symposium; vol. 2016-May).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    4 Scopus citations
  • Statistical methodology to identify optimal placement of on-chip process monitors for predicting fmax

    Mu, S. P., Chang, W. H., Chao, C.-T., Wang, Y. M., Chang, M. T. & Tsai, M. H., 7 Nov 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2016. Institute of Electrical and Electronics Engineers Inc., 2967076. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 07-10-November-2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • 2015

    Random pattern generation for post-silicon validation of DDR3 SDRAM

    Yang, H. Y., Kuo, S. H., Huang, T. H., Chen, C. H., Lin, C. & Chao, C.-T., 1 Jun 2015, Proceedings - 2015 IEEE 33rd VLSI Test Symposium, VTS 2015. IEEE Computer Society, 7116287. (Proceedings of the IEEE VLSI Test Symposium; vol. 2015-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Statistical techniques for predicting system-level failure using stress-test data

    Chen, H. H., Kuo, S. H., Tung, J. & Chao, C.-T., 1 Jun 2015, Proceedings - 2015 IEEE 33rd VLSI Test Symposium, VTS 2015. IEEE Computer Society, 7116260. (Proceedings of the IEEE VLSI Test Symposium; vol. 2015-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    10 Scopus citations
  • Testing methods for quaternary content addressable memory using charge-sharing sensing scheme

    Yang, H. Y., Huang, R. F., Su, C. L., Lin, K. H., Shu, H. K., Peng, C. W. & Chao, C.-T., 30 Nov 2015, International Test Conference 2015, ITC 2015 - Proceedings. Institute of Electrical and Electronics Engineers Inc., 7342409. (Proceedings - International Test Conference; vol. 2015-November).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    4 Scopus citations
  • 2014

    Power-switch routing for reducing dynamic IR drop in multi-domain MTCMOS designs

    Wang, Y. M., Chao, C.-T., Chen, S. H. & Li, H. C., 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2014. IEEE Computer Society, 6834873. (Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2014).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Testing methods for a write-assist disturbance-free dual-port SRAM

    Yang, H. Y., Lin, C. W., Huang, C. Y., Lu, C. H., Lai, C. A., Chao, C.-T. & Huang, R. F., 2014, Proceedings - 2014 IEEE 32nd VLSI Test Symposium, VTS 2014. IEEE Computer Society, 6818745. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • 2013

    A process/device/circuit/system compatible simulation framework for poly-Si TFT based SRAM design

    Lin, C. W., Ho, C. H., Lu, C., Chao, C.-T. & Roy, K., 2013, 2013 International Conference on Simulation of Semiconductor Processes and Devices, SISPAD 2013. p. 440-443 4 p. 6650669. (International Conference on Simulation of Semiconductor Processes and Devices, SISPAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Investigation of gate oxide short in FinFETs and the test methods for FinFET SRAMs

    Lin, C. W., Chao, C.-T. & Hsu, C. C., 14 Aug 2013, Proceedings - 2013 IEEE 31st VLSI Test Symposium, VTS 2013. 6548929. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    11 Scopus citations
  • Testing of a low-VMIN data-aware dynamic-supply 8T SRAM

    Lin, C. W., Huang, C. Y. & Chao, C.-T., 14 Aug 2013, Proceedings - 2013 IEEE 31st VLSI Test Symposium, VTS 2013. 6548895. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • Testing retention flip-flops in power-gated designs

    Hsu, H. W., Kuo, S. H., Chang, W. H., Chen, S. H., Chang, M. T. & Chao, C.-T., 14 Aug 2013, Proceedings - 2013 IEEE 31st VLSI Test Symposium, VTS 2013. 6548880. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • 2012

    Alternate hammering test for application-specific DRAMs and an industrial case study

    Huang, R. F., Yang, H. Y., Chao, C.-T. & Lin, S. C., 11 Jul 2012, Proceedings of the 49th Annual Design Automation Conference, DAC '12. p. 1012-1017 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    16 Scopus citations
  • An efficient Hamiltonian-cycle power-switch routing for MTCMOS designs

    Wang, Y. M., Chen, S. H. & Chao, C.-T., 26 Apr 2012, ASP-DAC 2012 - 17th Asia and South Pacific Design Automation Conference. p. 59-65 7 p. 6165026. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    6 Scopus citations
  • Testing strategies for a 9T sub-threshold SRAM

    Yang, H. Y., Lin, C. W., Chen, H. H., Chao, C.-T., Tu, M. H., Jou, S.-J. & Chuang, C. T., 1 Dec 2012, ITC 2012 - International Test Conference 2012, Proceedings. 6401577. (Proceedings - International Test Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • 2011

    Detecting stability faults in sub-threshold SRAMs

    Lin, C. W., Yang, H. Y., Huang, C. Y., Chen, H. H. & Chao, C.-T., 1 Dec 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011. p. 28-33 6 p. 6105301. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • 2010

    Fault models and test methods for subthreshold SRAMs

    Lin, C. W., Chen, H. H., Yang, H. Y., Chao, C.-T. & Huang, R. F., 2010, Proceedings - International Test Conference 2010, ITC 2010. Institute of Electrical and Electronics Engineers Inc., 5699245. (Proceedings - International Test Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    4 Scopus citations
  • Mask versus Schematic - An enhanced design-verification flow for first silicon success

    Luo, T. C., Leong, E., Chao, C.-T., Fisher, P. A. & Chang, W. H., 2010, Proceedings - International Test Conference 2010, ITC 2010. Institute of Electrical and Electronics Engineers Inc., 5699238. (Proceedings - International Test Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    1 Scopus citations
  • Mathematical yield estimation for two-dimensional-redundancy memory arrays

    Chao, C.-T., Chin, C. Y. & Lin, C. W., 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2010. Institute of Electrical and Electronics Engineers Inc., p. 235-240 6 p. 5654154. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • Testing methods for detecting stuck-open power switches in coarse-grain MTCMOS designs

    Mu, S. P., Wang, Y. M., Yang, H. Y., Chao, C.-T., Chen, S. H., Tseng, C. M. & Tsai, T. Y., 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2010. Institute of Electrical and Electronics Engineers Inc., p. 155-161 7 p. 5654118. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    4 Scopus citations
  • Theoretical analysis for low-power test decompression using test-slice duplication

    Mu, S. P. & Chao, C.-T., 29 Jun 2010, Proceedings - 28th IEEE VLSI Test Symposium, VTS10. p. 147-152 6 p. 5469591. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    7 Scopus citations
  • 2009

    A metal-only-ECO solver for input-slew and output-loading violations

    Lu, C. P., Chao, C.-T., Lo, C. H. & Chang, C. W., 21 Sep 2009, Proceedings of the 2009 International Symposium on Physical Design, ISPD'09. p. 191-198 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    20 Scopus citations
  • A novel array-based test methodology for local process variation monitoring

    Luo, T. C., Chao, C.-T., Wu, M. S. Y., Li, K. T., Hsia, C. C., Tseng, H. C., Huang, C. U., Chang, Y. Y., Pan, S. C. & Young, K. K. L., 15 Dec 2009, International Test Conference, ITC 2009 - Proceedings. 5355656. (Proceedings - International Test Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    5 Scopus citations
  • A novel test flow for one-time-programming applications of NROM technology

    Chin, C. Y., Tsout, Y. T., Chang, C. M. & Chao, C.-T., 15 Dec 2009, International Test Conference, ITC 2009 - Proceedings. 5355537. (Proceedings - International Test Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    5 Scopus citations
  • Fault models for embedded-DRAM macros

    Chao, C.-T., Yang, H. Y., Huang, R. F., Lin, S. C. & Chin, G. Y., 2009, 2009 46th ACM/IEEE Design Automation Conference, DAC 2009. Institute of Electrical and Electronics Engineers Inc., p. 714-719 6 p. 5227104. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    10 Scopus citations
  • Multiple-fault diagnosis using faulty-region identification

    Tasi, M. J., Chao, C.-T., Jou, J. Y. & Wu, M. C., 2009, Proceedings - 2009 27th IEEE VLSI Test Symposium, VTS 2009. p. 123-128 6 p. 5116621. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    8 Scopus citations
  • Power-switch routing for coarse-grain MTCMOS technologies

    Tseng, T. M., Chao, C.-T., Lu, C. P. & Lo, C. H., 2009, Proceedings of the 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers, ICCAD 2009. Institute of Electrical and Electronics Engineers Inc., p. 39-46 8 p. 5361318. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    13 Scopus citations
  • 2008

    Scan-chain reordering for minimizing scan-shift power based on non-specified test cubes

    Wu, Y. Z. & Chao, C.-T., 2 Oct 2008, Proceedings - 26th IEEE VLSI Test Symposium, VTS08. p. 147-154 8 p. 4511712. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    19 Scopus citations
  • Testing Methodology of Embedded DRAMs

    Chang, C. M., Chao, C.-T., Huangt, R. F. & Chen, D. Y., 2008, Proceedings - International Test Conference 2008, ITC 2008. 4700618. (Proceedings - International Test Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • 2007

    A hybrid scheme for compacting test responses with unknown values

    Chao, C.-T., Cheng, K. T., Wang, S., Chakradhar, S. T. & Wei, W. L., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 513-519 7 p. 4397316. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations